This file is indexed.

/etc/jupp/syntax/vhdl.jsf is in jupp 3.1.30-1.

This file is owned by root:root, with mode 0o644.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
# $MirOS: contrib/code/jupp/syntax/vhdl.jsf.in,v 1.2 2008/05/13 16:17:46 tg Exp $
#-
# JOE syntax highlight file for VHDL

# Define colors

=Idle
=Comment green
=Constant cyan
=Escape bold cyan
=Keyword bold
=Operator bold

# All following states are for when we're not in a preprocessor line

:idle Idle
	*		idle
	"-"		maybe_comment
	"'"		char		recolor=-1
	"\""		string		recolor=-1
	"0-9"		first_digit	recolor=-1
	"."		maybe_float
	"\""		string		recolor=-1
	"Bb"		maybe_binary	buffer
	"Oo"		maybe_octal	buffer
	"Xx"		maybe_hex	buffer
	"ac-np-wyzAC-NP-WYZ"	ident	buffer

:maybe_comment Idle
	*		idle		noeat
	"-"		comment		recolor=-2

:comment Comment
	*		comment
	"\n"		idle


# Character constant

:char Constant
	*		char
	"'"		idle

# Strings

:maybe_binary Idle
	*		ident		noeat
	"\""		string		recolor=-2

:maybe_octal Idle
	*		ident		noeat
	"\""		string		recolor=-2

:maybe_hex Idle
	*		ident		noeat
	"\""		string		recolor=-2

:string	Constant
	*		string
	"\n"		idle
	"\""		idle
	"\\"		string_escape	recolor=-1

:string_escape Escape
	*		string
	"\n"		string		recolor=-2

# Integer constants

:first_digit Constant
	*		idle		noeat
	"."		float
	"_"		first_digit
	"0-9"		first_digit

# Floating point

:maybe_float Constant
	*		idle	recolor=-2	noeat
	"0-9"		float		recolor=-2

:float Constant
	*		idle	noeat
	"eE"		epart
	"0-9"		float

:epart	Constant
	*		idle	noeat
	"0-9+\-"	enum

:enum	Constant
	*		idle	noeat
	"0-9"		enum

# Identifiers

:ident	Idle
	*		quote		noeat istrings
	"abs"		operator
	"access"	kw
	"after"		kw
	"alias"		kw
	"all"		kw
	"and"		operator
	"architecture"	kw
	"array"		kw
	"assert"	kw
	"attribute"	kw
	"begin"		kw
	"block"		kw
	"body"		kw
	"buffer"	kw
	"bus"		kw
	"case"		kw
	"component"	kw
	"configuration"	kw
	"constant"	kw
	"disconnect"	kw
	"downto"	kw
	"else"		kw
	"elsif"		kw
	"end"		kw
	"entity"	kw
	"exit"		kw
	"file"		kw
	"for"		kw
	"function"	kw
	"generate"	kw
	"generic"	kw
	"group"		kw
	"guarded"	kw
	"if"		kw
	"impure"	kw
	"in"		kw
	"inertial"	kw
	"inout"		kw
	"is"		kw
	"label"		kw
	"library"	kw
	"linkage"	kw
	"literal"	kw
	"loop"		kw
	"map"		kw
	"mod"		operator
	"nand"		operator
	"new"		kw
	"next"		kw
	"nor"		operator
	"not"		operator
	"null"		kw
	"of"		kw
	"on"		kw
	"open"		kw
	"or"		operator
	"others"	kw
	"out"		kw
	"package"	kw
	"port"		kw
	"postponed"	kw
	"procedure"	kw
	"process"	kw
	"process"	kw
	"pure"		kw
	"range"		kw
	"record"	kw
	"register"	kw
	"reject"	kw
	"rem"		operator
	"report"	kw
	"return"	kw
	"rol"		operator
	"ror"		operator
	"select"	kw
	"severity"	kw
	"signal"	kw
	"sla"		operator
	"sll"		operator
	"sra"		operator
	"srl"		operator
	"subtype"	kw
	"then"		kw
	"to"		kw
	"transport"	kw
	"type"		kw
	"unaffected"	kw
	"units"		kw
	"until"		kw
	"use"		kw
	"variable"	kw
	"wait"		kw
	"when"		kw
	"while"		kw
	"with"		kw
	"xnor"		operator
	"xor"		operator
done
	"a-zA-Z0-9_"	ident

:operator Operator
	*	idle	noeat

:kw Keyword
	*	idle	noeat

# identifiers separated with quote '

:quote	Idle
	*	idle	noeat
	"'"	quote_word

:quote_word	Idle
	*	idle	noeat
	"a-zA-Z"	ident	buffer