This file is indexed.

/usr/share/codeblocks/lexers/lexer_f77.xml is in codeblocks-common 10.05-2.1.

This file is owned by root:root, with mode 0o644.

The actual contents of the file can be viewed below.

 1
 2
 3
 4
 5
 6
 7
 8
 9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
<?xml version="1.0"?>
<!DOCTYPE CodeBlocks_lexer_properties>
<CodeBlocks_lexer_properties>
		<Lexer name="Fortran77"
				index="37"
				filemasks="*.f,*.for,*.f77">
				<Style name="Default"
						index="0"
						fg="0,0,0"
						bg="255,255,255"
						bold="0"
						italics="0"
						underlined="0"/>
				<Style name="Comment"
						index="1"
						fg="160,160,160"/>
				<Style name="Number"
						index="2"
						fg="240,0,240"/>
				<Style name="Keyword"
						index="8"
						fg="0,0,160"
						bold="1"/>
				<Style name="User keyword"
						index="9,10"
						fg="0,160,0"
						bold="1"/>
				<Style name="String"
						index="3,4,5"
						fg="0,0,255"/>
				<Style name="Label"
						index="13"
						fg="0,0,255"/>
				<Style name="Continuation"
						index="14"
						fg="0,0,255"/>
				<Style name="Preprocessor"
						index="11"
						fg="0,160,0"/>
				<Style name="Operator"
						index="6,12"
						fg="255,0,0"/>
				<Style name="Selection"
						index="-99"
						bg="192,192,192"/>
				<Style name="Active line"
						index="-98"
						bg="255,255,160"/>
				<Style name="Breakpoint line"
						index="-2"
						bg="255,160,160"/>
				<Style name="Debugger active line"
						index="-3"
						bg="160,160,255"/>
				<Style name="Compiler error line"
						index="-4"
						bg="255,128,0"/>
				<Style name="Matching brace highlight"
						index="34"
						fg="255,0,0"
						bold="1"
						underlined="1"/>
				<Style name="No matching brace highlight"
						index="35"
						bg="255,0,0"/>
				<Keywords>
                        <!-- Primary keywords and identifiers -->
                        <Set index="0"
                            value="assign backspace block call character close continue common
                                   complex data dimension double precision do entry equivalence
                                   external else end enddo endfile endif format function go goto to
                                   if implicit inquire integer intrinsic logical none open parameter
                                   pause print program read real return rewind save sequence stop
                                   subroutine then to write abs acos aimag alog10 amax0 amax1 amin0
                                   amin1 amod anint asin atan atan2 cabs ccos cexp clog cmplx conjg
                                   cos cosh csin csqrt dabs dacos dasin datan datan2 dble dcos dcosh
                                   ddim dexp dim dint dlog dlog10 dmax0 dmax1 dmin0 dmin1 dmod dprod
                                   dsign dsin dsqrt dtan dtanh exp float fmt ichar idim idint ifix
                                   index int isign len lge lgt lle llt log log10 max max0 max1 min
                                   min0 min1 mod real sign sin sngl sqrt tan tanh formatted
                                   unformatted unit"/>
				</Keywords>
				<SampleCode value="lexer_f77.sample"
						breakpoint_line="20"
						debug_line="22"
						error_line="23"/>
                <LanguageAttributes
                    LineComment="*"
                    StreamCommentStart=""
                    StreamCommentEnd=""
                    BoxCommentStart=""
                    BoxCommentMid=""
                    BoxCommentEnd=""
                    CaseSensitive="0"/>
		</Lexer>
</CodeBlocks_lexer_properties>