This file is indexed.

/usr/lib/gcc-cross/arm-linux-gnueabihf/6/adalib/gnatvsn/sem_aux.ali is in libgnatvsn6-dev-armhf-cross 6.4.0-17ubuntu1cross1.

This file is owned by root:root, with mode 0o444.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
V "GNAT Lib v6"
A -nostdinc
A -O2
A -fPIC
A -g
A -march=armv7-a
A -mfloat-abi=hard
A -mfpu=vfpv3-d16
A -mthumb
A -mtls-dialect=gnu
P ZX

RN
RV NO_EXCEPTIONS
RV NO_RECURSION
RV NO_ELABORATION_CODE
RV SPARK_05

U sem_aux%b		sem_aux.adb		65384345 NE OO PK
W atree%s		atree.adb		atree.ali
W einfo%s		einfo.adb		einfo.ali
W interfaces%s		interfac.ads		interfac.ali
W snames%s		snames.adb		snames.ali
W stand%s		stand.adb		stand.ali
W uintp%s		uintp.adb		uintp.ali

U sem_aux%s		sem_aux.ads		fd5011c8 BN EE OO PK
W ada.exceptions%s	a-except.adb		a-except.ali
W alloc%s		alloc.ads		alloc.ali
W namet%s		namet.adb		namet.ali
W sinfo%s		sinfo.adb		sinfo.ali
W system%s		system.ads		system.ali
W table%s		table.adb		table.ali  AD
W types%s		types.adb		types.ali

D ada.ads		20070406091342 3ffc8e18 ada%s
D a-except.ads		20151016123252 291912d5 ada.exceptions%s
D a-unccon.ads		20070406091342 f9eb8f06 ada.unchecked_conversion%s
D a-uncdea.ads		20070406091342 f15a5ed1 ada.unchecked_deallocation%s
D alloc.ads		20150107084147 61013b91 alloc%s
D atree.ads		20151118105339 653f11e2 atree%s
D debug.ads		20151112115918 3ea59655 debug%s
D einfo.ads		20151118105339 84c941c8 einfo%s
D hostparm.ads		20140801100131 a20ca6cf hostparm%s
D interfac.ads		20151118101227 4be8ce1b interfaces%s
D namet.ads		20151027115429 fa2d8adb namet%s
D opt.ads		20151123112034 ee330e6c opt%s
D output.ads		20151112132540 05f6116c output%s
D sem_aux.ads		20151026105717 8b37f568 sem_aux%s
D sem_aux.adb		20151026132748 020d4730 sem_aux%b
D sinfo.ads		20151113131130 90391755 sinfo%s
D snames.ads		20180424120251 b65780a1 snames%s
D stand.ads		20151020100444 4852f602 stand%s
D system.ads		20151123113124 2a1dd890 system%s
D s-conca2.ads		20140225151544 02a0d7d0 system.concat_2%s
D s-exctab.ads		20140225151139 54135002 system.exception_table%s
D s-memory.ads		20140225151139 597d6634 system.memory%s
D s-os_lib.ads		20151118101046 53e9e388 system.os_lib%s
D s-parame.ads		20140801093848 7e2a0d7f system.parameters%s
D s-stalib.ads		20151112104907 09bd3940 system.standard_library%s
D s-string.ads		20140225151139 8fe54fb7 system.strings%s
D s-traent.ads		20140730135025 005bf670 system.traceback_entries%s
D s-unstyp.ads		20140731130224 9d58a4c0 system.unsigned_types%s
D s-wchcon.ads		20140718092328 1b7d22d2 system.wch_con%s
D table.ads		20151020120548 e3a9ec16 table%s
D table.adb		20141107135941 9716557f table%b
D tree_io.ads		20140718093624 6de0ef2c tree_io%s
D types.ads		20151112132540 9edbaea9 types%s
D uintp.ads		20180424120200 f3f1d794 uintp%s
D unchconv.ads		20070406091342 ca2f9e18 unchecked_conversion%s
D unchdeal.ads		20070406091342 214516a4 unchecked_deallocation%s
D urealp.ads		20130411125352 e500ee51 urealp%s
X 5 alloc.ads
42K9*Alloc 166e10 14|41w6 41r17 68r30 69r30
109N4*Obsolescent_Warnings_Initial 14|68r36
110N4*Obsolescent_Warnings_Increment 14|69r36
X 6 atree.ads
44K9*Atree 4248e10 15|33w6 33r18
617V13*Error_Posted{boolean} 15|897s10 897s37 1146s13
626V13*Nkind{16|8194E9} 15|60s13 61s16 111s13 117s13 134s13 511s13 540s16
. 550s16 619s31 780s10 786s10 792s10 822s21 862s9 1025s24 1026s24 1045s18
. 1066s18 1203s18 1300s10 1301s13 1309s13 1310s18 1457s13 1467s16 1497s10
. 1536s12 1563s10 1580s10 1593s10 1651s13 1652s18 1653s18 1654s18 1655s18
. 1656s18 1657s18 1658s18 1659s18 1660s18 1661s18 1662s18 1663s18 1664s18
. 1665s18 1666s18 1667s18 1668s18 1669s18 1670s18 1671s18
629V13*No{boolean} 15|106s10 195s13 350s10 415s13 531s22 585s10 587s13 640s10
. 642s13 743s10 904s16 915s16 1042s10 1095s19 1232s19 1512s10 1678s13
634V13*Parent{33|385I9} 15|130s20 367s25 368s44 1022s20 1045s25 1066s25 1203s25
. 1455s15 1458s18 1465s18 1468s21 1484s14 1495s12 1498s15 1515s17 1529s12
. 1558s12 1578s12 1581s15 1640s22 1673s15
642V13*Present{boolean} 15|122s13 128s18 206s31 242s13 286s16 320s16 367s16
. 384s13 421s13 506s13 594s13 619s10 649s13 700s14 710s14 723s14 733s14 795s17
. 810s13 885s31 938s22 993s17 1024s20 1171s22 1261s22 1328s18 1365s13 1400s13
. 1419s13 1464s13 1594s25 1627s13
675V13*Nkind_In{boolean} 15|863s19
937V13*Ekind{8|4552E9} 15|127s13 243s20 283s25 294s19 321s23 327s22 486s10
. 814s13 977s35 1016s18 1065s13 1084s19 1123s13 1202s13 1221s19 1454s10 1645s10
X 8 einfo.ads
37K9*Einfo 9166e10 15|34w6 34r18
4552E9*Entity_Kind 4942e5 15|977r20
4569n7*E_Constant{4552E9} 15|127r27
4572n7*E_Discriminant{4552E9} 15|243r34 283r39 294r33 321r37 327r36
4752n7*E_String_Literal_Subtype{4552E9} 15|486r22
4789n7*E_Limited_Private_Type{4552E9} 15|1065r29 1123r29 1202r29
4829n7*E_Subprogram_Type{4552E9} 15|1016r33
4847n7*E_Operator{4552E9} 15|1645r28
4895n7*E_Generic_Package{4552E9} 15|814r25 1084r51 1221r51
4919n7*E_Package_Body{4552E9} 15|1454r22
4989E12*Array_Kind{4552E9} 15|983r18
4999E12*Class_Wide_Kind{4552E9} 15|984r22
6709B12*B{boolean} 15|1004r46
6711I12*E{33|388I12} 15|1004r36 1116r24 1117r24 1167r20
6714I12*N{33|385I9}
6715I12*U{34|48I9}
6734V13*Alias{6711I12} 15|1594s34 1595s41 1627s22 1628s25 1629s15
6740V13*Associated_Node_For_Itype{6714I12} 15|370s44
6760V13*Component_Type{6711I12} 15|748s45 962s44 963s35 1184s34 1283s34
6795V13*Discriminant_Default_Value{6714I12} 15|993s26
6820V13*Esize{6715I12} 15|153s30 155s16 157s19 159s19 161s19 163s19
6829V13*First_Entity{6711I12} 15|230s14 313s14 420s15
6834V13*First_Rep_Item{6714I12} 15|505s12 593s12 648s12
6836V13*Freeze_Node{6714I12} 15|338s35
6838V13*Full_View{6711I12} 15|128s27 130s28 206s40 207s49 913s42 1328s27
. 1330s35
6851V13*Has_Constrained_Partial_View{6709E12} 15|1436s14
6861V13*Has_Discriminants{6709E12} 15|228s10 310s10 746s17 771s14 992s13
. 1394s10 1443s28
6927V13*Has_Unknown_Discriminants{6709E12} 15|228s42 311s19 985s17
6929V13*Has_Volatile_Components{6709E12} 15|964s22
6935V13*In_Package_Body{6709E12} 15|815s21 1068s21 1085s27 1205s21 1222s27
6959V13*Is_Completely_Hidden{6709E12} 15|244s25 295s24 322s24
6962V13*Is_Constrained{6709E12} 15|746s54 980s10 1442s47
6976V13*Is_First_Subtype{6709E12} 15|50s10
6991V13*Is_Interface{6709E12} 15|1137s22 1157s24 1269s26
6996V13*Is_Itype{6709E12} 15|291s16
7000V13*Is_Limited_Composite{6709E12} 15|1124s17
7001V13*Is_Limited_Interface{6709E12} 15|1151s13
7035V13*Is_Tag{6709E12} 15|422s13 1360s22 1366s13
7036V13*Is_Tagged_Type{6709E12} 15|402s22 686s22 927s20 1440s32
7048V13*Is_Volatile{6709E12} 15|928s20 946s29 947s29 961s12 963s22
7081V13*Non_Limited_View{6711I12} 15|84s32
7123V13*Renamed_Object{6714I12} 15|112s17
7161V13*String_Literal_Low_Bound{6714I12} 15|487s17
7165V13*Suppress_Initialization{6709E12} 15|842s14 843s17
7200V13*Is_Array_Type{6709E12} 15|747s13 959s13 1183s13 1282s13 1441s33
7202V13*Is_Class_Wide_Type{6709E12} 15|404s10 1010s22 1162s16 1252s13
7206V13*Is_Concurrent_Type{6709E12} 15|922s13 1103s13 1128s13 1240s13
7211V13*Is_Elementary_Type{6709E12} 15|883s9 886s31
7218V13*Is_Formal_Subprogram{6709E12} 15|1050s22
7222V13*Is_Generic_Type{6709E12} 15|366s16 1076s21 1213s21 1438s28
7226V13*Is_Incomplete_Type{6709E12} 15|911s13
7228V13*Is_Limited_Record{6709E12} 15|926s13 1062s10 1136s13 1156s16 1199s10
7231V13*Is_Numeric_Type{6709E12} 15|1018s17
7235V13*Is_Private_Type{6709E12} 15|205s21 408s10 884s20 900s13 1070s13 1207s13
. 1327s18 1439s28
7238V13*Is_Record_Type{6709E12} 15|745s13 925s13 1149s13 1243s13
7240V13*Is_Signed_Integer_Type{6709E12} 15|152s22
7241V13*Is_Subprogram{6709E12} 15|821s16
7244V13*Is_Type{6709E12} 15|765s14 976s22 1008s10 1120s14
7256V13*Base_Type{6711I12} 15|153s37 337s35 351s17 354s20 357s20 360s20 363s20
. 843s42 894s37 1009s18 1059s53 1116s29 1196s53 1438s45 1439s45
7257V13*Declaration_Node{6714I12} 15|55s34 98s36 778s15 1295s31
7259V13*First_Component{6711I12} 15|937s21 1170s21 1260s21 1397s18
7266V13*Has_Non_Limited_View{6709E12} 15|83s10
7271V13*Is_Base_Type{6709E12} 15|50s41
7276V13*Is_Dynamic_Scope{6709E12} 15|204s20 207s31 1345s10
7284V13*Is_Protected_Interface{6709E12} 15|1158s23
7289V13*Is_Synchronized_Interface{6709E12} 15|1159s23
7291V13*Is_Task_Interface{6709E12} 15|1160s23
7303V13*Next_Component{6711I12} 15|952s24 1176s24 1275s24
7304V13*Next_Component_Or_Discriminant{6711I12} 15|1402s18
7305V13*Next_Discriminant{6711I12} 15|1421s19
7315V13*Root_Type{6711I12} 15|405s18 1009s37 1018s34 1117s29 1253s37
7323V13*Type_Low_Bound{6714I12} 15|489s17
7324V13*Underlying_Type{6711I12} 15|409s18 741s35 885s40 886s51 902s42 1093s45
. 1230s45
8072V13*Present_In_Rep_Item{boolean} 15|534s29
8093V13*Get_Full_View{33|388I12} 15|84s17
8098V13*Is_Entity_Name{boolean} 15|1316s16
X 11 namet.ads
38K9*Namet 713e10 14|42w6 42r17
180I9*Name_Id<integer> 14|175r23 187r23 188r23 201r23 214r23 215r23 228r23
. 239r23 240r23 251r23 262r23 263r23 15|499r23 573r23 574r23 611r23 628r23
. 629r23 696r23 705r23 706r23 719r23 728r23 729r23
X 14 sem_aux.ads
47K9*Sem_Aux 439l5 439e12 15|39b14 1686l5 1686t12
56R9*OWT_Record 62e14 65r30
57i7*Ent{33|388I12}
60i7*Msg{33|494I9}
64K12*Obsolescent_Warnings[30|55] 15|852r7 1607r7 1616r7
72U14*Initialize 15|850b14 853l8 853t18
77U14*Tree_Read 15|1605b14 1608l8 1608t17
81U14*Tree_Write 15|1614b14 1617l8 1617t18
89V13*Ancestor_Subtype{33|388I12} 89>31 15|45b13 73l8 73t24
89i31 Typ{33|388I12} 15|45b31 50r28 50r55 55r52
94V13*Available_View{33|388I12} 94>29 15|79b13 91l8 91t22 1059s37 1196s37
94i29 Ent{33|388I12} 15|79b29 83r32 84r50 89r17
99V13*Constant_Value{33|385I9} 99>29 15|97b13 145l8 145t22
99i29 Ent{33|388I12} 15|97b29 98r54 112r33 127r20 128r38 130r39
107V13*Corresponding_Unsigned_Type{33|388I12} 107>42 15|151b13 168l8 168t35
107i42 Typ{33|388I12} 15|151b42 152r46 153r48
112V13*Enclosing_Dynamic_Scope{33|388I12} 112>38 15|174b13 217l8 217t31 1348s17
112i38 Ent{33|388I12} 15|174b38 181r10 182r17 190r19
116V13*First_Discriminant{33|388I12} 116>33 15|223b13 257l8 257t26 993s54
. 1395s18 1418s16
116i33 Typ{33|388I12} 15|223b33 228r29 228r69 230r28
126V13*First_Stored_Discriminant{33|388I12} 126>40 15|263b13 330l8 330t33
126i40 Typ{33|388I12} 15|263b40 310r29 311r46 313r28
149V13*First_Subtype{33|388I12} 149>28 15|336b13 390l8 390t21 769s16 1022s28
149i28 Typ{33|388I12} 15|336b28 337r46 366r33
154V13*First_Tag_Component{33|388I12} 154>34 15|396b13 432l8 432t27
154i34 Typ{33|388I12} 15|396b34 401r15
158V13*Get_Binary_Nkind{16|8194E9} 158>31 15|438b13 478l8 478t24
158i31 Op{33|388I12} 15|438b31 440r19
164V13*Get_Low_Bound{33|385I9} 164>28 15|484b13 491l8 491t21
164i28 E{33|388I12} 15|484b28 486r17 487r43 489r33
167V13*Get_Unary_Nkind{16|8194E9} 167>30 15|664b13 678l8 678t23
167i30 Op{33|388I12} 15|664b30 666r19
173V13*Get_Rep_Item{33|385I9} 174>7 175>7 176>7 15|497b13 569l8 569t20 577s39
. 578s39 617s12 700s23
174i7 E{33|388I12} 15|498b7 505r28 527r65 546r51 560r32
175i7 Nam{11|180I9} 15|499b7 513r33 514r25 516r25 542r27 543r25 552r40 554r19
176b7 Check_Parents{boolean} 15|500b7 519r16 546r16 557r16
185V13*Get_Rep_Item{33|385I9} 186>7 187>7 188>7 189>7 15|571b13 603l8 603t20
. 710s23
186i7 E{33|388I12} 15|572b7 577r53 578r53 593r28
187i7 Nam1{11|180I9} 15|573b7 577r56
188i7 Nam2{11|180I9} 15|574b7 578r56
189b7 Check_Parents{boolean} 15|575b7 577r62 578r62
199V13*Get_Rep_Pragma{33|385I9} 200>7 201>7 202>7 15|609b13 624l8 624t22
. 632s39 633s39 723s23
200i7 E{33|388I12} 15|610b7 617r26
201i7 Nam{11|180I9} 15|611b7 617r29
202b7 Check_Parents{boolean} 15|612b7 617r34
212V13*Get_Rep_Pragma{33|385I9} 213>7 214>7 215>7 216>7 15|626b13 658l8 658t22
. 733s23
213i7 E{33|388I12} 15|627b7 632r55 633r55 648r28
214i7 Nam1{11|180I9} 15|628b7 632r58
215i7 Nam2{11|180I9} 15|629b7 633r58
216b7 Check_Parents{boolean} 15|630b7 632r64 633r64
226V13*Has_Rep_Item{boolean} 227>7 228>7 229>7 15|687s14 694b13 701l8 701t20
227i7 E{33|388I12} 15|695b7 700r37
228i7 Nam{11|180I9} 15|696b7 700r40
229b7 Check_Parents{boolean} 15|687r50 697b7 700r45
237V13*Has_Rep_Item{boolean} 238>7 239>7 240>7 241>7 15|703b13 711l8 711t20
238i7 E{33|388I12} 15|704b7 710r37
239i7 Nam1{11|180I9} 15|705b7 710r40
240i7 Nam2{11|180I9} 15|706b7 710r46
241b7 Check_Parents{boolean} 15|707b7 710r52
249V13*Has_Rep_Pragma{boolean} 250>7 251>7 252>7 15|717b13 724l8 724t22
250i7 E{33|388I12} 15|718b7 723r39
251i7 Nam{11|180I9} 15|719b7 723r42
252b7 Check_Parents{boolean} 15|720b7 723r47
260V13*Has_Rep_Pragma{boolean} 261>7 262>7 263>7 264>7 15|726b13 734l8 734t22
261i7 E{33|388I12} 15|727b7 733r39
262i7 Nam1{11|180I9} 15|728b7 733r42
263i7 Nam2{11|180I9} 15|729b7 733r48
264b7 Check_Parents{boolean} 15|730b7 733r54
273V13*Has_External_Tag_Rep_Clause{boolean} 273>42 15|684b13 688l8 688t35
273i42 T{33|388I12} 15|684b42 686r38 687r28
284V13*Has_Unconstrained_Elements{boolean} 284>41 15|740b13 748s17 752l8
. 752t34
284i41 T{33|388I12} 15|740b41 741r52
288V13*Has_Variant_Part{boolean} 288>31 15|758b13 797l8 797t24
288i31 Typ{33|388I12} 15|758b31 765r23 769r31
292V13*In_Generic_Body{boolean} 292>30 15|803b13 834l8 834t23 1437s18
292i30 Id{33|388I12} 15|803b30 809r12
295V13*Initialization_Suppressed{boolean} 295>40 296r19 15|840b13 844l8 844t33
295i40 Typ{33|388I12} 15|840b40 842r39 843r53
301V13*Is_Body{boolean} 301>22 15|859b13 868l8 868t15
301i22 N{33|385I9} 15|859b22 862r16 863r29
304V13*Is_By_Copy_Type{boolean} 304>30 15|874b13 887l8 887t23
304i30 Ent{33|388I12} 15|874b30 883r29 884r37 885r57 886r68
308V13*Is_By_Reference_Type{boolean} 308>35 15|893b13 907s23 918s23 945s22
. 962s22 969l8 969t28
308i35 Ent{33|388I12} 15|893b35 894r48 897r24
312V13*Is_Definite_Subtype{boolean} 312>34 15|975b13 998l8 998t27
312i34 T{33|388I12} 15|975b34 976r31 977r42 980r26 985r44 992r32 993r74
320V13*Is_Derived_Type{boolean} 320>30 15|1004b13 1033l8 1033t23 1075s13
. 1212s13 1326s13
320i30 Ent{33|388I12} 15|1004b30 1008r19 1009r29 1009r48 1010r42 1016r25
. 1018r45 1022r43
324V13*Is_Generic_Formal{boolean} 324>32 15|1039b13 1052l8 1052t25
324i32 E{33|388I12} 15|1039b32 1042r14 1045r33 1050r44
329V13*Is_Immutably_Limited_Type{boolean} 329>40 15|1058b13 1098s26 1109l8
. 1109t33
329i40 Ent{33|388I12} 15|1058b40 1059r64
335V13*Is_Limited_View{boolean} 335>30 15|1195b13 1235s26 1253s20 1270s30
. 1283s17 1288l8 1288t23
335i30 Ent{33|388I12} 15|1195b30 1196r64
345V13*Is_Limited_Type{boolean} 345>30 15|1078s20 1115b13 1163s20 1172s22
. 1184s17 1189l8 1189t23 1215s20
345i30 Ent{33|388I12} 15|1115b30 1116r40 1120r23 1136r32 1137r36 1146r27
. 1151r35
352V13*Nearest_Ancestor{33|388I12} 352>31 15|527s47 1294b13 1330s17 1337l8
. 1337t24
352i31 Typ{33|388I12} 15|1294b31 1295r49 1326r30 1327r35 1328r38 1330r46
370V13*Nearest_Dynamic_Scope{33|388I12} 370>36 15|1343b13 1350l8 1350t29
370i36 Ent{33|388I12} 15|1343b36 1345r28 1346r17 1348r42
375V13*Next_Tag_Component{33|388I12} 375>33 15|1356b13 1377l8 1377t26
375i33 Tag{33|388I12} 15|1356b33 1360r30 1364r28
380V13*Number_Components{33|62I12} 380>32 15|1383b13 1406l8 1406t25
380i32 Typ{33|388I12} 15|1383b32 1394r29 1395r38 1397r35
384V13*Number_Discriminants{33|65I12} 384>35 15|1412b13 1425l8 1425t28
384i35 Typ{33|388I12} 15|1412b35 1418r36
387V13*Object_Type_Has_Constrained_Partial_View{boolean} 388>7 389>7 15|1431b13
. 1444l8 1444t48
388i7 Typ{33|388I12} 15|1432b7 1436r44 1438r56 1439r56 1440r48 1441r48 1442r63
. 1443r47
389i7 Scop{33|388I12} 15|1433b7 1437r35
396V13*Package_Body{33|385I9} 396>27 15|1450b13 1476l8 1476t20
396i27 E{33|388I12} 15|1450b27 1454r17 1455r23 1462r29
400V13*Package_Spec{33|385I9} 400>27 15|1462s15 1482b13 1485l8 1485t20
400i27 E{33|388I12} 15|1482b27 1484r45
404V13*Package_Specification{33|385I9} 404>36 15|1484s22 1491b13 1502l8 1502t29
404i36 E{33|388I12} 15|1491b36 1495r20
408V13*Subprogram_Body{33|385I9} 408>30 15|1508b13 1517l8 1517t23
408i30 E{33|388I12} 15|1508b30 1509r62
412V13*Subprogram_Body_Entity{33|388I12} 412>37 15|1509s38 1523b13 1546l8
. 1546t30
412i37 E{33|388I12} 15|1523b37 1529r46 1538r20
417V13*Subprogram_Spec{33|385I9} 417>30 15|1552b13 1568l8 1568t23
417i30 E{33|388I12} 15|1552b30 1558r46
421V13*Subprogram_Specification{33|385I9} 421>39 15|1515s25 1529s20 1558s20
. 1574b13 1595s15 1599l8 1599t32
421i39 E{33|388I12} 15|1574b39 1578r20 1594r41 1595r48
427V13*Ultimate_Alias{33|388I12} 427>29 428r19 15|1623b13 1633l8 1633t22
427i29 Prim{33|388I12} 15|1623b29 1624r24
432V13*Unit_Declaration_Node{33|385I9} 432>36 15|822s28 1639b13 1684l8 1684t29
432i36 Unit_Id{33|388I12} 15|1639b36 1640r30 1645r17
X 15 sem_aux.adb
55i10 D{33|385I9} 60r20 61r43 62r65 64r51
98i7 D{33|385I9} 106r14 111r20 117r20 122r34 123r29
99i7 Full_D{33|385I9} 130m10 134r20 135r26 137r32
153i7 Siz{34|48I9} 155r10 157r13 159r13 161r13 163r13
175i7 S{33|388I12} 190m7 195r17 203r16 204r38 205r38 206r51 207r60 209r20
. 214m13 214r25
224i7 Ent{33|388I12} 230m7 236r17 237m10 237r30 242r22 243r27 244r47 246m10
. 246r30 256r14
264i7 Ent{33|388I12} 313m7 315r17 316m10 316r30 319r46 320r25 321r30 322r46
. 323m13 323r33 327r29 329r14
266V16 Has_Completely_Hidden_Discriminant{boolean} 267>10 277b16 304l11 304t45
. 319s10
267i10 Typ{33|388I12} 278b10 283r32 285r17
280i10 Ent{33|388I12} 285m10 286r25 291r26 294r26 295r46 300m13 300r33
337i7 B{33|388I12} 338r48 351r13 354r16 357r16 360r16 363r16 367r33 368r52
. 370r71 374r20 387r20
338i7 F{33|385I9} 350r14 382r37
339i7 Ent{33|388I12} 382m10 384r22 385r20
397i7 Comp{33|388I12} 420m7 421r22 422r21 423r20 426m10 426r31
398i7 Ctyp{33|388I12} 401m7 402r38 404r30 405m10 405r29 408r27 409m10 409r35
. 415r17 420r29
502i7 N{33|385I9} 505m7 506r22 511r20 513r28 515r48 517r48 520r23 532r29
. 534r55 535r29 540r23 542r22 544r42 546r46 547r23 550r23 552r34 555r48 558r23
. 560r27 561r23 565m25 565r25
527i19 Par{33|388I12} 531r26 534r50
577i7 Nam1_Item{33|385I9} 585r14 588r17 595r17
578i7 Nam2_Item{33|385I9} 586r17 587r17 595r39
580i7 N{33|385I9} 593m7 594r22 595r13 595r35 596r20 599m25 599r25
614i7 N{33|385I9} 617m7 619r19 619r38 620r17
632i7 Nam1_Item{33|385I9} 640r14 643r17 650r17
633i7 Nam2_Item{33|385I9} 641r17 642r17 650r39
635i7 N{33|385I9} 648m7 649r22 650r13 650r35 651r20 654m25 654r25
741i7 U_T{33|388I12} 743r14 745r29 746r36 746r70 747r28 748r61
759i7 FSTyp{33|388I12} 769m7 771r33 778r33
760i7 Decl{33|385I9} 778m7 780r17 784r32
761i7 TDef{33|385I9} 784m7 786r17 790r32
762i7 CList{33|385I9} 790m7 792r17 795r40
804i7 S{33|388I12} 809m7 810r22 810r34 814r20 815r38 821r31 822r51 828m10
. 828r22
894i7 Btype{33|388I12} 897r51 900r30 902r59 911r33 913r53 922r33 925r29 926r32
. 927r36 928r33 937r38 959r28 961r25 962r60 963r51 964r47
902i13 Utyp{33|388I12} 904r20 907r45
913i13 Ftyp{33|388I12} 915r20 918r45
934i16 C{33|388I12} 937m16 938r31 945r51 946r49 947r42 952m19 952r40
977e7 K{8|4552E9} 983r13 984r17
1005i7 Par{33|385I9} 1022m13 1024r29 1025r31 1026r48
1040e7 Kind{16|8194E9} 1045m10 1047r22
1059i7 Btype{33|388I12} 1062r29 1065r20 1066r33 1068r46 1070r30 1075r30 1076r45
. 1078r44 1084r40 1085r51 1093r62 1103r33
1093i16 Utyp{33|388I12} 1095r23 1098r53
1116i7 Btype{8|6711I12} 1117r40 1123r20 1124r39 1128r33 1149r29 1162r36 1170r38
. 1183r28 1184r50
1117i7 Rtype{8|6711I12} 1156r35 1157r38 1158r47 1159r50 1160r42 1163r37
1167i16 C{8|6711I12} 1170m16 1171r31 1172r46 1176m19 1176r40
1196i7 Btype{33|388I12} 1199r29 1202r20 1203r33 1205r46 1207r30 1212r30 1213r45
. 1215r44 1221r40 1222r51 1230r62 1240r33 1243r29 1252r33 1253r48 1260r38
. 1282r28 1283r50
1230i16 Utyp{33|388I12} 1232r23 1235r43
1257i16 C{33|388I12} 1260m16 1261r31 1269r47 1270r54 1275m19 1275r40
1295i7 D{33|385I9} 1300r17 1301r40 1302r62 1304r48 1309r20 1310r42 1313r58
1313i13 DTD{33|388I12} 1314r61
1314i13 SI{33|388I12} 1316r32 1317r31 1319r45
1357i7 Comp{33|388I12} 1364m7 1365r22 1366r21 1367r35 1368r20 1371m10 1371r31
1384i7 N{33|59I9} 1388m7 1401m10 1401r15 1405r14
1385i7 Comp{33|388I12} 1395m10 1397m10 1400r22 1402m10 1402r50
1413i7 N{33|59I9} 1417m7 1420m10 1420r15 1424r14
1414i7 Discr{33|388I12} 1418m7 1419r22 1421m10 1421r38
1451i7 N{33|385I9} 1455m10 1457r20 1458m13 1458r26 1462m10 1464r42 1465m13
. 1465r46 1467r23 1468m16 1468r29 1471m13 1475r14
1492i7 N{33|385I9} 1495m7 1497r17 1498m10 1498r23 1501r14
1509i7 Body_E{33|388I12} 1512r14 1515r51
1524i7 N{33|385I9} 1529m7 1536r19 1541r40
1553i7 N{33|385I9} 1558m7 1563r17 1564r17
1575i7 N{33|385I9} 1578m7 1580r17 1581m10 1581r23 1593r17 1595m10 1598r14
1624i7 E{33|388I12} 1627r29 1628r32 1628r38 1629m10 1629r22 1632r14
1640i7 N{33|385I9} 1646r17 1651r20 1652r25 1653r25 1654r25 1655r25 1656r25
. 1657r25 1658r25 1659r25 1660r25 1661r25 1662r25 1663r25 1664r25 1665r25
. 1666r25 1667r25 1668r25 1669r25 1670r25 1671r25 1673m10 1673r23 1678r17
. 1683r14
X 16 sinfo.ads
54K9*Sinfo 14|45w6 45r17 16|13300e10
8194E9*Node_Kind 14|158r54 167r53 15|438r54 664r53 1040r14 16|8583e23
8207n7*N_Attribute_Definition_Clause{8194E9} 15|540r28
8249n7*N_Op_Add{8194E9} 15|442r20
8250n7*N_Op_Concat{8194E9} 15|444r20
8251n7*N_Op_Expon{8194E9} 15|446r20
8252n7*N_Op_Subtract{8194E9} 15|448r20
8257n7*N_Op_Divide{8194E9} 15|454r20
8258n7*N_Op_Mod{8194E9} 15|450r20
8259n7*N_Op_Multiply{8194E9} 15|452r20
8260n7*N_Op_Rem{8194E9} 15|456r20
8265n7*N_Op_And{8194E9} 15|458r20
8270n7*N_Op_Eq{8194E9} 15|460r20
8271n7*N_Op_Ge{8194E9} 15|462r20
8272n7*N_Op_Gt{8194E9} 15|464r20
8273n7*N_Op_Le{8194E9} 15|466r20
8274n7*N_Op_Lt{8194E9} 15|468r20
8275n7*N_Op_Ne{8194E9} 15|470r20
8280n7*N_Op_Or{8194E9} 15|472r20
8281n7*N_Op_Xor{8194E9} 15|474r20
8295n7*N_Op_Abs{8194E9} 15|668r20
8296n7*N_Op_Minus{8194E9} 15|670r20
8297n7*N_Op_Not{8194E9} 15|672r20
8298n7*N_Op_Plus{8194E9} 15|674r20
8355n7*N_Subtype_Indication{8194E9} 15|61r49 1301r46
8359n7*N_Component_Declaration{8194E9} 15|117r25
8360n7*N_Entry_Declaration{8194E9} 15|1653r31
8362n7*N_Formal_Object_Declaration{8194E9} 15|1047r28
8363n7*N_Formal_Type_Declaration{8194E9} 15|1049r28 1066r43 1203r43
8364n7*N_Full_Type_Declaration{8194E9} 15|780r26 1025r38 1309r25
8372n7*N_Subtype_Declaration{8194E9} 15|60r25 1300r22
8386n7*N_Task_Type_Declaration{8194E9} 15|1669r31
8392n7*N_Subprogram_Body_Stub{8194E9} 15|1540r42 1666r31
8398n7*N_Function_Instantiation{8194E9} 15|1655r31
8399n7*N_Procedure_Instantiation{8194E9} 15|1662r31
8403n7*N_Package_Instantiation{8194E9} 15|1660r31
8407n7*N_Package_Body{8194E9} 15|864r32 1659r31
8408n7*N_Subprogram_Body{8194E9} 15|866r32 1537r15 1665r31
8412n7*N_Protected_Body{8194E9} 15|865r32 1663r31
8413n7*N_Task_Body{8194E9} 15|867r32 1668r31
8418n7*N_Package_Declaration{8194E9} 15|1658r31
8420n7*N_Subprogram_Declaration{8194E9} 15|1540r15 1563r22 1664r31
8425n7*N_Generic_Package_Declaration{8194E9} 15|1656r31
8426n7*N_Generic_Subprogram_Declaration{8194E9} 15|823r23 1657r31
8436n7*N_Object_Renaming_Declaration{8194E9} 15|111r25 134r30
8437n7*N_Package_Renaming_Declaration{8194E9} 15|1661r31
8438n7*N_Subprogram_Renaming_Declaration{8194E9} 15|1667r31
8517n7*N_Abstract_Subprogram_Declaration{8194E9} 15|1651r26
8520n7*N_Aspect_Specification{8194E9} 15|550r28
8527n7*N_Component_List{8194E9} 15|792r27
8529n7*N_Derived_Type_Definition{8194E9} 15|1027r26 1310r48
8531n7*N_Defining_Program_Unit_Name{8194E9} 15|1457r25 1467r28 1497r22 1580r22
8538n7*N_Entry_Body{8194E9} 15|863r32 1652r31
8550n7*N_Formal_Package_Declaration{8194E9} 15|1048r28 1654r31
8568n7*N_Pragma{8194E9} 15|511r25 619r43
8572n7*N_Record_Definition{8194E9} 15|786r26
8604E12*N_Body_Stub{8194E9} 15|862r22
8626E12*N_Formal_Subprogram_Declaration{8194E9} 15|1670r35
8638E12*N_Generic_Renaming_Declaration{8194E9} 15|1671r35
8764E12*N_Subprogram_Specification{8194E9} 15|1593r27
8893V13*Chars{11|180I9} 15|236s10 315s10 440s12 542s15 544s35 552s15 555s29
. 666s12 1367s28
8932V13*Component_List{33|385I9} 15|790s16
8983V13*Corresponding_Body{33|385I9} 15|1464s22 1465s26 1541s20
9019V13*Defining_Identifier{33|388I12} 15|368s23 370s23
9112V13*Entity{33|385I9} 15|62s23 64s23 546s38 560s19 1302s20 1304s20 1317s23
. 1319s23
9136V13*Etype{33|385I9} 15|945s44 946s42 1076s38 1078s37 1084s33 1172s39
. 1213s38 1215s37 1221s33 1269s40 1270s47
9160V13*Expression{33|385I9} 15|122s22 123s17 137s20
9181V13*First_Subtype_Link{33|388I12} 15|382s17
9282V13*Identifier{33|385I9} 15|552s22 555s36
9489V13*Name{33|385I9} 15|135s20
9495V13*Next_Entity{33|385I9} 15|237s17 246s17 300s20 316s17 323s20 426s18
. 1364s15 1371s18
9690V13*Scope{33|385I9} 15|190s12 214s18 828s15 1068s38 1084s26 1085s44 1205s38
. 1221s26 1222s44
9726V13*Subtype_Indication{33|385I9} 15|61s23 62s45 64s31 1301s20 1302s42
. 1304s28 1314s41
9729V13*Subtype_Mark{33|385I9} 15|62s31 1302s28 1319s31
9774V13*Type_Definition{33|385I9} 15|784s15 1026s31 1310s25 1313s41
9792V13*Variant_Part{33|385I9} 15|795s26
10862U14*Next_Rep_Item 15|565s10 599s10 654s10
10900V13*Nkind_In{boolean} 15|1047s12
10970V13*Pragma_Name{11|180I9} 15|513s15 515s35 517s35
X 17 snames.ads
34K9*Snames 15|35w6 35r18 17|2146e11
132i4*Name_uTag{11|180I9} 15|236r24 315r24 1367r44
329i4*Name_Op_Abs{11|180I9} 15|667r15
330i4*Name_Op_And{11|180I9} 15|457r15
331i4*Name_Op_Mod{11|180I9} 15|449r15
332i4*Name_Op_Not{11|180I9} 15|671r15
333i4*Name_Op_Or{11|180I9} 15|471r15
334i4*Name_Op_Rem{11|180I9} 15|455r15
335i4*Name_Op_Xor{11|180I9} 15|473r15
336i4*Name_Op_Eq{11|180I9} 15|459r15
337i4*Name_Op_Ne{11|180I9} 15|469r15
338i4*Name_Op_Lt{11|180I9} 15|467r15
339i4*Name_Op_Le{11|180I9} 15|465r15
340i4*Name_Op_Gt{11|180I9} 15|463r15
341i4*Name_Op_Ge{11|180I9} 15|461r15
342i4*Name_Op_Add{11|180I9} 15|441r15 673r15
343i4*Name_Op_Subtract{11|180I9} 15|447r15 669r15
344i4*Name_Op_Concat{11|180I9} 15|443r15
345i4*Name_Op_Multiply{11|180I9} 15|451r15
346i4*Name_Op_Divide{11|180I9} 15|453r15
347i4*Name_Op_Expon{11|180I9} 15|445r15
884i4*Name_External_Tag{11|180I9} 15|687r31
939i4*Name_Priority{11|180I9} 15|514r31 517r53 543r31 554r25
1065i4*Name_Interrupt_Priority{11|180I9} 15|515r53 516r31 544r47 555r54
X 18 stand.ads
38K9*Stand 15|36w6 36r18 18|496e10
250i4*Standard_Standard=250:53{33|385I9} 15|181r16 196r20 203r20 810r39
280i4*Standard_Short_Short_Integer=280:53{33|385I9} 15|155r23 357r31 358r20
281i4*Standard_Short_Integer=281:53{33|385I9} 15|157r26 360r31 361r20
282i4*Standard_Integer=282:53{33|385I9} 15|351r28 352r20
283i4*Standard_Long_Integer=283:53{33|385I9} 15|161r26 354r31 355r20
284i4*Standard_Long_Long_Integer=284:53{33|385I9} 15|163r26 363r31 364r20
463i4*Standard_Short_Short_Unsigned{33|388I12} 15|156r17
464i4*Standard_Short_Unsigned{33|388I12} 15|158r17
465i4*Standard_Unsigned{33|388I12} 15|159r26 160r17
466i4*Standard_Long_Unsigned{33|388I12} 15|162r17
467i4*Standard_Long_Long_Unsigned{33|388I12} 15|164r17
X 19 system.ads
67M9*Address
X 22 s-memory.ads
53V13*Alloc{19|67M9} 103i<c,__gnat_malloc>22
68U14*Free 104i<c,__gnat_free>22
76V13*Realloc{19|67M9} 105i<c,__gnat_realloc>22
X 30 table.ads
43K9*Table 14|43w6 64r40 30|238e10
47+12 Table_Component_Type 14|65r6
48I12 Table_Index_Type 14|66r6
50*7 Table_Low_Bound{48I12} 14|67r6
51i7 Table_Initial{33|65I12} 14|68r6
52i7 Table_Increment{33|62I12} 14|69r6
53a7 Table_Name{string} 14|70r6
55k12*Table 14|64r46 30|237e13
138U17*Init 15|852s28[14|64]
213U17*Tree_Write 15|1616s28[14|64]
216U17*Tree_Read 15|1607s28[14|64]
X 33 types.ads
52K9*Types 14|44w6 44r17 33|941e10
59I9*Int<integer> 14|66r30 15|1384r14 1413r15
62I12*Nat{59I9} 14|380r56 15|1383r56
65I12*Pos{59I9} 14|384r59 15|1412r59
385I9*Node_Id<integer> 14|99r53 164r50 176r47 189r47 202r47 216r47 301r26
. 396r49 400r49 404r58 408r52 417r52 421r61 432r64 15|55r23 97r53 98r25 99r16
. 338r22 484r50 500r47 502r11 575r47 577r28 578r28 580r11 612r47 614r11 630r47
. 632r28 633r28 635r11 760r15 761r15 762r15 859r26 1005r13 1295r20 1450r49
. 1451r11 1482r49 1491r58 1492r11 1508r52 1524r11 1552r52 1553r11 1574r61
. 1575r11 1639r64 1640r11
388I12*Entity_Id{385I9} 14|57r13 89r37 89r55 94r35 94r53 99r35 107r48 107r66
. 112r44 112r62 116r39 116r57 126r46 126r64 149r34 149r52 154r40 154r58 158r36
. 164r32 167r35 174r23 186r23 200r23 213r23 227r23 238r23 250r23 261r23 273r46
. 284r45 288r37 292r35 295r46 304r36 308r41 312r38 320r36 324r36 329r46 335r36
. 345r36 352r37 352r55 370r42 370r60 375r39 375r57 380r38 384r41 388r14 389r14
. 396r31 400r31 404r40 408r34 412r41 412r59 417r34 421r43 427r36 427r54 432r46
. 15|45r37 45r55 79r35 79r53 97r35 151r48 151r66 174r44 174r62 175r11 223r39
. 223r57 224r13 263r46 263r64 264r13 267r16 278r16 280r16 336r34 336r52 337r22
. 339r13 396r40 396r58 397r14 398r14 438r36 484r32 498r23 527r34 572r23 610r23
. 627r23 664r35 684r46 695r23 704r23 718r23 727r23 740r45 741r22 758r37 759r15
. 803r35 804r11 840r46 874r36 893r41 894r24 902r29 913r29 934r20 975r38 1039r36
. 1058r46 1059r24 1093r32 1115r36 1195r36 1196r24 1230r32 1257r20 1294r37
. 1294r55 1313r28 1314r28 1343r42 1343r60 1356r39 1356r57 1357r14 1383r38
. 1385r14 1412r41 1414r15 1432r14 1433r14 1450r31 1482r31 1491r40 1508r34
. 1509r25 1523r41 1523r59 1552r34 1574r43 1623r36 1623r54 1624r11 1639r46
400i4*Empty{385I9} 15|51r17 70r20 107r17 118r17 143r17 416r20 431r14 568r14
. 602r14 623r14 657r14 1335r17 1376r14 1471r18 1513r17 1544r20 1566r17
494I9*String_Id<integer> 14|60r13
X 34 uintp.ads
42K9*Uintp 15|37w6 37r18 34|542e10
48I9*Uint<33|59I9> 15|153r22
339V14*"="=339:70{boolean} 15|155s14 157s17 159s17 161s17 163s17