This file is indexed.

/usr/share/sip/PyQt5/Qsci/qscilexerverilog.sip is in pyqt5.qsci-dev 2.9.1+dfsg-4build1.

This file is owned by root:root, with mode 0o644.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
// This is the SIP interface definition for QsciLexerVerilog.
//
// Copyright (c) 2015 Riverbank Computing Limited <info@riverbankcomputing.com>
// 
// This file is part of QScintilla.
// 
// This file may be used under the terms of the GNU General Public License
// version 3.0 as published by the Free Software Foundation and appearing in
// the file LICENSE included in the packaging of this file.  Please review the
// following information to ensure the GNU General Public License version 3.0
// requirements will be met: http://www.gnu.org/copyleft/gpl.html.
// 
// If you do not wish to use this file under the terms of the GPL version 3.0
// then you may purchase a commercial license.  For more information contact
// info@riverbankcomputing.com.
// 
// This file is provided AS IS with NO WARRANTY OF ANY KIND, INCLUDING THE
// WARRANTY OF DESIGN, MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.


class QsciLexerVerilog : QsciLexer
{
%TypeHeaderCode
#include <Qsci/qscilexerverilog.h>
%End

public:
    enum {
        Default,
        InactiveDefault,
        Comment,
        InactiveComment,
        CommentLine,
        InactiveCommentLine,
        CommentBang,
        InactiveCommentBang,
        Number,
        InactiveNumber,
        Keyword,
        InactiveKeyword,
        String,
        InactiveString,
        KeywordSet2,
        InactiveKeywordSet2,
        SystemTask,
        InactiveSystemTask,
        Preprocessor,
        InactivePreprocessor,
        Operator,
        InactiveOperator,
        Identifier,
        InactiveIdentifier,
        UnclosedString,
        InactiveUnclosedString,
        UserKeywordSet,
        InactiveUserKeywordSet,
        CommentKeyword,
        InactiveCommentKeyword,
        DeclareInputPort,
        InactiveDeclareInputPort,
        DeclareOutputPort,
        InactiveDeclareOutputPort,
        DeclareInputOutputPort,
        InactiveDeclareInputOutputPort,
        PortConnection,
        InactivePortConnection,
    };

    QsciLexerVerilog(QObject *parent /TransferThis/ = 0);

    const char *language() const;
    const char *lexer() const;
    QColor defaultColor(int style) const;
    bool defaultEolFill(int style) const;
    QFont defaultFont(int style) const;
    QColor defaultPaper(int style) const;
    const char *keywords(int set) const;
    QString description(int style) const;
    const char *wordCharacters() const;

    void refreshProperties();

    void setFoldAtElse(bool fold);
    bool foldAtElse() const;

    void setFoldComments(bool fold);
    bool foldComments() const;

    void setFoldCompact(bool fold);
    bool foldCompact() const;

    void setFoldPreprocessor(bool fold);
    bool foldPreprocessor() const;

    void setFoldAtModule(bool fold);
    bool foldAtModule() const;

protected:
    bool readProperties(QSettings &qs, const QString &prefix);
    bool writeProperties(QSettings &qs, const QString &prefix) const;

private:
    QsciLexerVerilog(const QsciLexerVerilog &);
};